Zum Hauptinhalt springen

Showing 1–11 of 11 results for author: Ottaviano, A

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.06546  [pdf, other

    cs.AR

    SentryCore: A RISC-V Co-Processor System for Safe, Real-Time Control Applications

    Authors: Michael Rogenmoser, Alessandro Ottaviano, Thomas Benz, Robert Balas, Matteo Perotti, Angelo Garofalo, Luca Benini

    Abstract: In the last decade, we have witnessed exponential growth in the complexity of control systems for safety-critical applications (automotive, robots, industrial automation) and their transition to heterogeneous mixed-criticality systems (MCSs). The growth of the RISC-V ecosystem is creating a major opportunity to develop open-source, vendor-neutral reference platforms for safety-critical computing.… ▽ More

    Submitted 16 May, 2024; originally announced June 2024.

    Comments: 2 pages, accepted at the RISC-V Summit Europe 2024

  2. A Gigabit, DMA-enhanced Open-Source Ethernet Controller for Mixed-Criticality Systems

    Authors: Chaoqun Liang, Alessandro Ottaviano, Thomas Benz, Mattia Sinigaglia, Luca Benini, Angelo Garofalo, Davide Rossi

    Abstract: The ongoing revolution in application domains targeting autonomous navigation, first and foremost automotive "zonalization", has increased the importance of certain off-chip communication interfaces, particularly Ethernet. The latter will play an essential role in next-generation vehicle architectures as the backbone connecting simultaneously and instantaneously the zonal/domain controllers. There… ▽ More

    Submitted 10 June, 2024; originally announced June 2024.

    Comments: 4 pages,4 figures, 21st ACM International Conference on Computing Frontiers Workshops and Special Sessions

  3. arXiv:2405.18030  [pdf, other

    eess.SY cs.PF

    Modeling and Controlling Many-Core HPC Processors: an Alternative to PID and Moving Average Algorithms

    Authors: Giovanni Bambini, Alessandro Ottaviano, Christian Conficoni, Andrea Tilli, Luca Benini, Andrea Bartolini

    Abstract: The race towards performance increase and computing power has led to chips with heterogeneous and complex designs, integrating an ever-growing number of cores on the same monolithic chip or chiplet silicon die. Higher integration density, compounded with the slowdown of technology-driven power reduction, implies that power and thermal management become increasingly relevant. Unfortunately, existin… ▽ More

    Submitted 28 May, 2024; originally announced May 2024.

    Comments: Paper in Review

  4. arXiv:2401.09359  [pdf, other

    cs.AR

    LRSCwait: Enabling Scalable and Efficient Synchronization in Manycore Systems through Polling-Free and Retry-Free Operation

    Authors: Samuel Riedel, Marc Gantenbein, Alessandro Ottaviano, Torsten Hoefler, Luca Benini

    Abstract: Extensive polling in shared-memory manycore systems can lead to contention, decreased throughput, and poor energy efficiency. Both lock implementations and the general-purpose atomic operation, load-reserved/store-conditional (LRSC), cause polling due to serialization and retries. To alleviate this overhead, we propose LRwait and SCwait, a synchronization pair that eliminates polling by allowing c… ▽ More

    Submitted 17 January, 2024; originally announced January 2024.

    Comments: 6 pages, 6 figures, 2 tables, accepted as a regular paper at DATE24

  5. arXiv:2311.09662  [pdf, other

    cs.AR

    AXI-REALM: A Lightweight and Modular Interconnect Extension for Traffic Regulation and Monitoring of Heterogeneous Real-Time SoCs

    Authors: Thomas Benz, Alessandro Ottaviano, Robert Balas, Angelo Garofalo, Francesco Restuccia, Alessandro Biondi, Luca Benini

    Abstract: The increasing demand for heterogeneous functionality in the automotive industry and the evolution of chip manufacturing processes have led to the transition from federated to integrated critical real-time embedded systems (CRTESs). This leads to higher integration challenges of conventional timing predictability techniques due to access contention on shared resources, which can be resolved by pro… ▽ More

    Submitted 16 November, 2023; originally announced November 2023.

    Comments: 6 pages, 6 figures, accepted as a regular paper at DATE24

  6. arXiv:2311.09645  [pdf, other

    cs.AR

    PELS: A Lightweight and Flexible Peripheral Event Linking System for Ultra-Low Power IoT Processors

    Authors: Alessandro Ottaviano, Robert Balas, Philippe Sauter, Manuel Eggimann, Luca Benini

    Abstract: A key challenge for ultra-low-power (ULP) devices is handling peripheral linking, where the main central processing unit (CPU) periodically mediates the interaction among multiple peripherals following wake-up events. Current solutions address this problem by either integrating event interconnects that route single-wire event lines among peripherals or by general-purpose I/O processors, with a str… ▽ More

    Submitted 18 January, 2024; v1 submitted 16 November, 2023; originally announced November 2023.

    Comments: 6 pages, accepted at DATE24 conference, camera-ready version

  7. arXiv:2311.08320  [pdf, other

    cs.AR

    CV32RT: Enabling Fast Interrupt and Context Switching for RISC-V Microcontrollers

    Authors: Robert Balas, Alessandro Ottaviano, Luca Benini

    Abstract: Processors using the open RISC-V ISA are finding increasing adoption in the embedded world. Many embedded use cases have real-time constraints and require flexible, predictable, and fast reactive handling of incoming events. However, RISC- V processors are still lagging in this area compared to more mature proprietary architectures, such as ARM Cortex-M and TriCore, which have been tuned for years… ▽ More

    Submitted 14 November, 2023; originally announced November 2023.

    Comments: 12 pages, submitted to IEEE Transactions on VLSI Systems (TVLSI)

  8. Towards a RISC-V Open Platform for Next-generation Automotive ECUs

    Authors: Luca Cuomo, Claudio Scordino, Alessandro Ottaviano, Nils Wistoff, Robert Balas, Luca Benini, Errico Guidieri, Ida Maria Savino

    Abstract: The complexity of automotive systems is increasing quickly due to the integration of novel functionalities such as assisted or autonomous driving. However, increasing complexity poses considerable challenges to the automotive supply chain since the continuous addition of new hardware and network cabling is not considered tenable. The availability of modern heterogeneous multi-processor chips repre… ▽ More

    Submitted 9 July, 2023; originally announced July 2023.

    Comments: 8 pages, 2023 12th Mediterranean Conference on Embedded Computing (MECO)

    Journal ref: 2023 12th Mediterranean Conference on Embedded Computing (MECO), Budva, Montenegro, 2023, pp. 1-8

  9. ControlPULP: A RISC-V On-Chip Parallel Power Controller for Many-Core HPC Processors with FPGA-Based Hardware-In-The-Loop Power and Thermal Emulation

    Authors: Alessandro Ottaviano, Robert Balas, Giovanni Bambini, Antonio del Vecchio, Maicol Ciani, Davide Rossi, Luca Benini, Andrea Bartolini

    Abstract: High-Performance Computing (HPC) processors are nowadays integrated Cyber-Physical Systems demanding complex and high-bandwidth closed-loop power and thermal control strategies. To efficiently satisfy real-time multi-input multi-output (MIMO) optimal power requirements, high-end processors integrate an on-die power controller system (PCS). While traditional PCSs are based on a simple microcontro… ▽ More

    Submitted 21 February, 2024; v1 submitted 15 June, 2023; originally announced June 2023.

    Comments: 33 pages, 11 figures

  10. arXiv:2305.05240  [pdf, other

    cs.AR

    A High-performance, Energy-efficient Modular DMA Engine Architecture

    Authors: Thomas Benz, Michael Rogenmoser, Paul Scheffler, Samuel Riedel, Alessandro Ottaviano, Andreas Kurth, Torsten Hoefler, Luca Benini

    Abstract: Data transfers are essential in today's computing systems as latency and complex memory access patterns are increasingly challenging to manage. Direct memory access engines (DMAEs) are critically needed to transfer data independently of the processing elements, hiding latency and achieving high throughput even for complex access patterns to high-latency memory. With the prevalence of heterogeneous… ▽ More

    Submitted 14 November, 2023; v1 submitted 9 May, 2023; originally announced May 2023.

    Comments: 14 pages, 14 figures, accepted by an IEEE journal for publication

  11. arXiv:2305.04760  [pdf, other

    cs.AR

    Cheshire: A Lightweight, Linux-Capable RISC-V Host Platform for Domain-Specific Accelerator Plug-In

    Authors: Alessandro Ottaviano, Thomas Benz, Paul Scheffler, Luca Benini

    Abstract: Power and cost constraints in the internet-of-things (IoT) extreme-edge and TinyML domains, coupled with increasing performance requirements, motivate a trend toward heterogeneous architectures. These designs use energy-efficient application-class host processors to coordinate compute-specialized multicore accelerators, amortizing the architectural costs of operating system support and external co… ▽ More

    Submitted 6 July, 2023; v1 submitted 8 May, 2023; originally announced May 2023.

    Comments: 5 pages, 11 figures, accepted by IEEE Transactions on Circuits and Systems Part II: Express Briefs