imec

imec

Research Services

Imec is a world-leading R&D and innovation hub in nanoelectronics and digital technologies.

About us

At imec, we shape the future. How? By enabling nano- and digital technology innovation with a significant impact on the quality of life. With our partners from companies, governments and academia. And supported by the three pillars of our R&D: - a unique infrastructure that includes a 2.5-billion-euro 300mm semiconductor pilot line - more than 5,000 expert scientists from over 96 countries - an ecosystem of more than 600 world-leading industry partners and a global academic network Founded in 1984, imec quickly made its name as the leading research hub for advanced CMOS scaling. We will continue to shape the roadmap for the semiconductor industry for years to come. On top of that, we leverage this deep-tech knowhow. And we combine it with software and system knowledge to build up an exceptional portfolio of advanced technologies. The combination of these technologies opens the door to smart, sustainable solutions. These span domains such as healthcare, clean energy and Industry 4.0. Want to join us in shaping the future? Take a look at our research and development offerings, our support services for start-ups, or find out more about working at imec at www.imec-int.com.

Website
http://www.imec-int.com
Industry
Research Services
Company size
1,001-5,000 employees
Headquarters
Leuven
Type
Nonprofit
Founded
1984
Specialties
R&D, nanoelectronics, life sciences, photovoltaics, wireless communications, data science, digital technologies, internet of things, wearables, flexible electronics, data security and privacy for IoT, prototyping and low-volume production, and advanced imaging systems

Locations

Employees at imec

Updates

  • View organization page for imec, graphic

    115,963 followers

    Discover the power of ECiD. ECiD, or Electrochemically induced Deposition, is a versatile technique that allows for fabricating a wide range of materials. Extending the scope of electroplating, ECiD triggers precipitation or sol-gel reactions through localized changes in the chemical environment near the electrode surface. Applications of ECiD are vast. Think advanced coatings and electrochemical devices, particularly sensors. But the real game-changer? Energy storage and conversion. ECiD can deposit Silica (#SiO2) and Lithium phosphates (#LiPON). These materials are crucial for energy storage in batteries and supercapacitors and energy conversion by thermo- and electro-catalysis. The versatility of ECiD allows for creating complex nanostructured electrode materials with improved performance. Discover more about imec's energy innovations and read the ECiD papers. Link in the comments below

    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    ITF Semicon USA brought together almost 400 industry experts. Focusing on fast-tracking semiconductor technologies to bolster the AI revolution, we covered new system architectures, flexible platform integration, and sustainability, while emphasizing the need for collaboration in the fast-evolving tech landscape. We look forward to seeing everyone again next year in Phoenix! #SSTS #ITFUSA2024 #ITFusa #imec40years #SemiconWest

    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    "Don't be afraid to speak up and to network with other people in the industry. There are a lot of inspiring women and men who can help you and mentor you along the way." Isabelle Ferain, Vice President at GlobalFoundries, shared her personal experience and gave some inspiring advise to fellow women in tech during a SHEroes fireside chat with Katrien Marent, imec EVP and CMO, at #ITFSemiconUSA2024 Imec introduced its "SHEroes” initiative at its flagship event ITF World in May. This initiative highlights the achievements of women in the semiconductor industry. With the imec tech SHEroes, we want to acknowledge women at different stages of their careers, celebrating their contributions, and sharing their insights and experiences. Ultimately, we want to stimulate many more women to step into the exciting world of the semiconductor industry.

    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    Imec proudly welcomes Intel Corporation to our Sustainable Semiconductor Technologies and Systems program (SSTS). The SSTS program aims to assess and improve fab processes to minimize environmental impact. Intel’s expertise in sustainability will be invaluable as we work together to tackle the industry’s ecological challenges, including reducing greenhouse gas emissions, water consumption, resource depletion, and e-waste. Building a sustainable semiconductor ecosystem is crucial for a greener future. Welcome, Intel! #SSTS #ITFUSA2024 #Sustainability #GreenTech

    • No alternative text description for this image
  • imec reposted this

    View organization page for imec the Netherlands, graphic

    7,787 followers

    Luc Van den hove, President and CEO of imec, recently featured on BNR Nieuwsradio, sharing his insights on the future of semiconductors. 🌐 Luc talked about how imec has become a cornerstone of the global chip industry, highlighting imec's role in driving technological innovation and optimizing production processes for leading tech companies. His discussion provided an exclusive glimpse into how imec is pushing the boundaries of what's possible in the semiconductor industry. 🌍🔬 🎙️ Listen to the full podcast here: https://lnkd.in/dQUERWrm 🇳🇱: Luc Van den hove, President en CEO van imec, was onlangs te gast op BNR Digital en deelde zijn inzichten over de toekomst van chiptechnologie. 🌐✨ Luc besprak hoe imec een hoeksteen is geworden van de wereldwijde chipindustrie en benadrukte de rol van imec in het stimuleren van technologische innovatie en het optimaliseren van productieprocessen voor toonaangevende technologiebedrijven. 🏭🔧 Luc's discussie gaf een exclusieve kijk op hoe imec de grenzen verlegt van wat mogelijk is in de chiptechnologie. Luister hier naar de volledige podcast: https://lnkd.in/dQUERWrm

    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    🌉 SEMICON West 2024 is just around the corner, and imec will present four key talks demonstrating imec's commitment to drive technological advancements and sustainability. Join us in San Francisco to explore:   1️⃣ Patterning Innovations and High-NA EUV Lithography Ru-Gun Liu, imec Vice President R&D and Fellow, will discuss imec's milestone achievement in demonstrating 10nm dense lines using High NA EUV lithography and the key innovations needed to push this technology to its limits.   2️⃣ Scaling AI Compute Through Advanced Silicon Photonics and 3D Technologies Filippo Jacopo Ferraro, imec Program Manager, will showcase how advancements in silicon photonics and 3D integration are enabling a 100x scaling of optical interconnect performance for high-performance AI systems.   3️⃣ Automotive Chiplet Alliance & Program at imec Kurt Herremans, imec Program Director Automotive, will present imec's initiatives to rally the automotive supply chain and collaboratively research solutions for chiplet-based automotive designs.   4️⃣ Material Circularity Throughout the Semiconductor Value Chain imec is driving the transition to a circular economy in the semiconductor industry. Emily Gallagher, Principal Member of Technical Staff, will showcase how imec is improving material circularity across the value chain.   As a global hub of semiconductor industry collaboration, imec is at the forefront of driving innovation and addressing the challenges facing our industry. Join us at SEMICON West to discover how imec is stronger together with our partners in shaping the future of semiconductors.   #SEMICONWest #Sustainability #Photonics #Automotive #Innovation SEMI Americas

    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    ITF Semicon USA is nearly here, bringing together leading experts to explore the future of technology. 🚀 Join our speakers and discover cutting-edge innovations in High NA EUV, AI, HPC, metrology, inspection, and sustainability. Following the conference, the exclusive ITF reception offers an ideal setting for strategic networking among industry stakeholders and is the place to be before Semicon West. Register today: https://lnkd.in/gZywjwM3 #ITF2024 #ITFusa #imec40years #SemiconWest

  • View organization page for imec, graphic

    115,963 followers

    “It’s crucial to discuss mental health, show respect, and kindness in all settings, particularly diverse ones, as everyone far from home misses their loved ones.” Meet Jamieson Geraldine, Senior Process Engineer at imec. Growing up in South Africa, Geraldine’s youth was marked by frequent moves and a multicultural family background. Her journey spans South Africa, Namibia, Australia, England, and Belgium. Beyond her professional role, Geraldine finds balance through sports, walking, and writing simple, fun poetry to maintain her mental well-being, especially when life gets overwhelming. As we celebrate our 40th anniversary, we share stories like hers to honor the amazing people who make our success possible.   Read Geraldine's full story: https://lnkd.in/eFbfVbDg Discover Geraldine's poem, where she reflects on her culture, growing up in South Africa. ⬇ #imec40years

    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    Next-gen semiconductor nodes face new design challenges at the N2 technology level. Imec is providing hands-on training in advanced node design, system-technology co-optimization (#STCO), and backside power delivery network (#BSPDN) techniques, enabling participants to explore and learn N2 design features.   Imec held its first edition of the N2 Pathfinding Process Design Kit (P-PDK) workshop, bringing together top nanoelectronics experts under the NanoIC Pilot Line to address these challenges.   Stay tuned for upcoming editions and check out our latest article, "Unlocking Tomorrow's Technology Nodes: Inside imec's N2 P-PDK Workshop": https://lnkd.in/giAeWYrq

    View organization page for imec.IC-link, graphic

    2,321 followers

    2 nanometer design was on our minds the last few days as we had our first training on our 𝐍2 𝐍𝐚𝐧𝐨𝐬𝐡𝐞𝐞𝐭 𝐏𝐚𝐭𝐡𝐟𝐢𝐧𝐝𝐢𝐧𝐠-𝐏𝐃𝐊 (𝐏-𝐏𝐃𝐊) 👩🏫 Supported by EUROPRACTICE and in collaboration with NanoIC, attendees came from both academia and industry. Ruzica Jevtic from Universidad Politécnica de Madrid said, "The projects we are working on at our university rely on the latest trends in microelectronics. For me as an IC designer, it was great to see where the technology is now and where it is going." Read our article to learn more 🔗 https://ow.ly/N3cT50SrK84 #Europractice #imecIClink #training #N2 #NanoIC

    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    115,963 followers

    Enhancing imaging performance and functionality. This array of lenses was processed on top of #CMOS imagers and can be used in medical imaging, AR, VR, automotive etc. It’s one example of the numerous gems that leave our 200mm & 300mm cleanroom thanks to the expertise of our post-processing team. Post-processing can optimize your product in terms of cost, size, reliability, speed, and performance. Imec makes its advanced CMOS process modules available for prototyping and manufacturing. Want to learn more about the functionalities, structures, and materials that can be processed on top of CMOS for various applications? Read our newly released whitepaper for more information. https://ow.ly/7nSo50SsKYz

    • No alternative text description for this image

Affiliated pages

Similar pages