Next Article in Journal
A Hybrid Routing Protocol Based on Naïve Bayes and Improved Particle Swarm Optimization Algorithms
Previous Article in Journal
Advanced Intrusion Detection Combining Signature-Based and Behavior-Based Detection Methods
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Low-Noise Analog Front End with Interstage Systematically Ambient Interference Cancellation for Pulse Oximeter

1
Chongqing Engineering Laboratory of High Performance Integrated Circuits, School of Microelectronics and Communication Engineering, Chongqing University, Chongqing 400044, China
2
Institute of Intelligent Lock, College of Electrical and Electronic Engineering, Wenzhou University, Wenzhou 325035, China
*
Authors to whom correspondence should be addressed.
Electronics 2022, 11(6), 868; https://doi.org/10.3390/electronics11060868
Submission received: 21 January 2022 / Revised: 1 March 2022 / Accepted: 7 March 2022 / Published: 9 March 2022
(This article belongs to the Section Bioelectronics)

Abstract

:
This paper presents a low-noise analog front end (AFE) with interstage systematically ambient interference cancellation for a pulse oximeter, which is suitable for clinical oxygen saturation ( S P O 2 ) detection with a low perfusion index. The fully differential implementation is adopted to have a better rejection performance of common mode interference and noise. Firstly, the proposed interstage systematically ambient interference cancellation is placed in the two gain stages to decrease low frequency noise in the bandwidth of interest (0.1–20 Hz), so that the larger signal-to-noise ratio (SNR) can be achieved to increase the detection accuracy of this system. Secondly, due to the additional gain stage compared with traditional implementation, the current-reuse OTA is employed to have better noise and power efficiency. Thirdly, the auto zero technique is utilized in the LED Driver to decrease the offset voltage and acquire a larger dynamic range (DR) in the low frequency bandwidth of interest. This PPG AFE chip is designed and fabricated in a 180 nm standard CMOS process. The receiver (RX) of this AFE consumes 220 μ W from a 1.8 V supply, and the power consumption of the transmitter (TX) is 60 μ W from a 3 V supply. The measurement results show that the input-referred noise current of 2.3 pA/sqrt(Hz) is achieved in RX and 110 dB peak DR is obtained in TX.

1. Introduction

Body sensors are becoming more and more popular for e-health devices because of their cost-effectiveness and convenience for real-time health monitoring [1,2]. As a key sensor node, PPG is a crucial technology enabling non-invasive monitoring of important vital indicators such as heart rate (HR), S P O 2 and blood pressure (BP). Different health monitoring products using PPG have been proposed, such as blood pressure [3], mental stress [4] and alertness [5]. The area and power dissipation have been reduced in these sensor systems with the increasingly advanced integrated circuit process node [6]. As a result, PPG is usually a promising technology for detecting HR and S P O 2 [7]. Owing to its safety, convenience, and noninvasiveness, it has become the clinical standard for measuring S P O 2 [8].
A conventional implementation using a pulse-oximeter AFE for clinical application was shown in Figure 1a [9], which was used to collect data from human tissues so that a practical electro-optical model of the transmissive PPG system can be acquired. An LED is pulsed at a pulse repetition frequency (PRF) to transmit photons to the finger. The mathematical model has been built by the Beer–Lamberts law [10] for this mechanism as photons transmit through finger and impinge on the photodiode (PD). The TX consists of two LEDs (IR and R) and its driver; the finger is the transmission channel, and the RX comprises the PD + transimpedance amplifier (TIA) [11]. The detected PPG signal contains two different components: a large DC component due to the photons’ diffusion through human tissues and non-pulsatile blood layers, and a small AC part because of the diffusion through the arterial blood [7]. The TIA shown in Figure 2 and Figure 3 converts the photocurrent pulses from the PD to voltage, then it is sampled and held using the switched capacitor (SC) filter [12] shown in Figure 3. After this, a high-resolution Δ Σ -ADC can convert this analog voltage to digital value.
Heart rate can be obtained by the frequency of the pulsatile (AC) component, and S P O 2 can be calculated from the result of IR and R light transillumination [7]. Aiming to avoid aliasing of out-of-band noise, the system sampling frequency, f P R F , is implemented at least twice as large as the PPG signal bandwidth (typically > 10). Typically, the magnitude of the DC component is orders of magnitude larger than the AC component, which is shown in Figure 1b [13]. The DC component is caused by the biological and mechanical elements in the transmission channel, in the meantime, there is always ambient light appearing even when the LED is closed. Moreover, perfusion Index (PI) [14] represents the ratio between DC component and AC component, which is correlated with the pulse intensity of an artery. PI is approximately 3% to 10% for typical human bodies, but it can be lower than 0.5% in clinical scenarios for critical patients with respiratory diseases, so that it cannot be accurately measured [15]. Therefore, the low-noise performance of the oximeter is very important for low PI applications.
The noise of PD is injected into the input of AFE directly, so that it is crucial to reduce its noise level [16]. Because the PD is off-chip in our implementation, the discrete device with better noise performance can be chosen in PCB, here we just consider the noise of the proposed AFE. Moreover, another issue is the amplitude of the ambient component, which will degenerate the effective system DR and require a large DR of the subsequent Δ Σ -ADC.
To reduce the DR requirement of ADC, the static component can be eliminated with the correlated double sampling (CDS) scheme. Conventionally, a current digital-to-analog converter (DAC) in a digital feedback loop is implemented in [17]. As Figure 1 shows, the static component is comprised of the ambient and DC components of the PPG signal, which can be measured and evaluated in the microcontroller unit (MCU) [18]. Then, the static component can be compensated by the analogous DAC current adjusted by the MCU. Hence, the remaining AC signal can be amplified and the overall DR of the readout channel can be improved [19]. However, because of the additional DAC in parallel with PD, the input-referred low frequency noise will be increased in such a way [20].
Tavakoli et al. proposes a logarithmic amplifier to increase the dynamic range while still amplifying the AC component [21]. The wide dynamic range can be achieved but analog complexity and power consumption are also largely increased. Glaros et al. [22] removes static interferences through the use of a digital feedback loop, which controls the LED drive currents so that a reference voltage can subtract the average output of the TIA in the second stage of the AFE. However, it requires an additional reference voltage circuit, which increases the area and power consumption. Zhang et al. [23] adopts the high-pass operation to separate the DC and AC signals and chopping modulation to decrease low frequency noise. However, it employs a single-ended structure so that it lacks the immunity to noise from the power supply and substrate, meanwhile it will introduce more switching noise using chopping stability. Lin et al. [24] proposes their background light cancelation (BLC) technique with a low-power performance. However, maintaining the stability of the BLC loop is difficult and the noise level of that loop is high.
To address these concerns, a low-noise AFE with interstage systematically ambient interference cancellation is proposed in this paper. The fully differential implementation is adopted to reject common mode noise, and our proposed interstage systematically ambient interference cancellation scheme not only cancels the ambient light interference, but also effectively decreases the system’s low frequency noise. For better noise and power efficiency, the current-reuse OTA is employed for TIA and PGA. The input photocurrent can change from a minimum saturation input current of 0.5 μ A to a maximum saturation input current of 50 μ A with the adjustment of 7-b programmable R F . The minimum input signal photocurrent depends on the noise floor at the input of TIA, which is the critical issue in our work. As a result, this implementation can satisfy wide range changes of light intensity. The proposed AFE with low-noise performance is suitable for clinical S P O 2 detection with low PI.
The remainder of this paper is organized as follows: Section 2 describes the system description and operation. The detailed circuit implementation is discussed in Section 3, and the measurement results are illustrated in Section 4. Finally, Section 5 gives the conclusion.

2. System Description and Operation

The block diagram of the proposed PPG AFE system is shown in Figure 2. The photons are transmitted from two off-chip LEDs, which are driven by the on-chip driver. The red light LED emits light with a wavelength of 660 nm, and the infrared light LED emits light with a wavelength of 940 nm; meanwhile they have similar forward voltage (typically 1.5 V–2.0 V). The photons that transmit through the human tissues and the transmissive parts are received by the off-chip PD. The PD features a dark current of 100 pA, an input capacitance 30 pF at zero bias, and a red and infrared light quantum efficiency of 0.75 and 0.85. The difference of light quantum efficiency can be calibrated by the processing of subsequent algorithm. The weak light signal will be converted to photocurrent by PD. Then, the photocurrent will be processed by the on-chip AFE.

2.1. RX Channel

The RX channel of this AFE is based on a fully differential structure to have a better rejection performance of common mode interference and noise. In addition, a higher DR and a better match with differential input ADC can be connected compared to single-ended architecture. The fully differential TIA is configured with the fully differential operational trans-conductance amplifier (OTA) based on the typical current negative feedback implementation as shown in Figure 3. The first stage TIA converts the photo current from the PD to fully differential voltage outputs. After this, the second stage programmable gain amplifier (PGA) further processes the output voltage with the gains of 1–4. The PGA has 2-b digitally programmable resistors R P so that users could change the gain. The R F is implemented by the 7-b digitally programmable resistor with an LSB resistance of 10 k Ω and R P is a 2-b programmable resistor with the LSB resistance of 100 k Ω that equals to R 1 . Although it will occupy a large enough area, it should be implemented in this way due to the linearity requirement. Moreover, an auto-gain control circuit can be configured with the specific algorithm to compute the peak amplitude of the PPG signals in an external MCU. Finally, the PPG signal will be sampled and held subsequently, and meanwhile filtered using the switched-RC low pass filter (LPF), then it will be buffered to the external Δ Σ -ADC.
In order to make the output voltage settle to its stable value in the desired time, the bandwidth of TIA must be sufficient for a faster transition. This translates to a high bandwidth and slew rate (SR) requirement on the core TIA amplifier. It means that the TIA amplifier requires a large bandwidth and SR. However, if the bandwidth is larger than the optimal value, the wideband white noise will be aliased to the in-band signal through the operation of consecutive switched-RC sampling stages, then the SNR of the PPG signal acquired system will be degenerated. Judging from these two constraints, the TIA bandwidth should be elaborately designed to make the in-band signal undistorted and out-of-band noise filtered. Meanwhile, the high bandwidth and SR requirement is contradictory with the low power dissipation requirement so that there is a significant trade-off between these requirements.
The TIA has a 7-b programmable gain to deal with the different LED currents on-the-fly for diverse lighting scenarios and R F can be adjusted to be from 10 k to 1 M. However, the bandwidth of TIA will be changed and more severely the loop stability may be disturbed with the R F changing extensively. For simplicity, just considering the half of the circuit, the transfer function for the TIA with the OTA of a single pole response [11] is given by:
Z ( s ) = R F A 0 A 0 + 1 s 2 R F C F + R F C D ( A 0 + 1 ) ω 0 + s R F C F + R F C D + 1 / ω 0 A 0 + 1 + 1
where C D is the PD input capacitor, C F is the feedback capacitor, R F is the transimpedance gain, and A 0 is the gain of OTA, ω 0 is its dominant pole. Meanwhile, there are two goals to reconfigure C F as a 5-b variable capacitor with its LSB of 2 pF. On the one hand, transition time and noise performance can be optimized by adjusting C F during LED-ON time. On the other hand, to tackle application scenarios of different PDs and cables, the C F can be optimally varied in a wide range to deal with specific situations. Moreover, the C F is changed with the definite R F for the fixed C P D . Last but not least, the C F should be chosen properly to avoid damped oscillation in the time domain and closed loop gain peaking in the frequency domain, so the stability can be ensured.

2.2. Operation Timing Sequences

The timing diagram of this AFE is illustrated in Figure 4, and the off-chip timer circuit generates the non-overlapping timing sequences. Meanwhile, the LED signals and ambient light signals are sampled respectively by the f c l k A and f c l k B . Then, as Figure 1a shows, the MCU can receive the quantized data of the sampled LED and ambient signals with the alternating timing operation. The ambient light sample phase is implemented between two LED phases to enable CDS systematically through the operation of MCU and AIC, so that ambient interference can be eliminated for a larger DR. Because the two different LED signals (R and IR) are acquired alternately, clocks f c l k 1 and f c l k 2 ( f c l k 3 and f c l k 4 ) are implemented as the control signals for ADC operation to implement the conversion of two different ambient signals (LED signals). The collection and storage of all converted data in the MCU can be ensured by this timing operation.

2.3. Noise Considerations

Our implementation adopts the proposed interstage systematically ambient interference cancellation scheme to eliminate the ambient interference of the PPG signal; meanwhile, it also has a crucial impact on the noise performance. It cancels the ambient light, and strongly reduces low frequency noise, such as flicker noise. The LED signals and ambient light signals are sampled respectively by the f c l k A and f c l k B ; then they will be sent to MCU and stored respectively, the difference between them is what data we want. In the ambient sampling phase, the ambient light signal and the system’s low frequency noise will both be sampled in the ambient sampling phase data form. So that low frequency noise in the different PPG signal data is effectively attenuated. The systematic CDS [17,18,19,20] occurs at the MCU to obtain the low noise PPG signal data. The CDS operation is a technique that adopts two timing phases to sample signals and fixed pattern noise respectively, and one phase to sample the signals with noise and another to sample the fixed pattern noise. It is widely used in the CMOS image sensors [25] and other applications with reduced offset voltage and low frequency noise [26]. The CDS transfer function can be given by [27]:
H C D S ( f ) 2 = 4 sin 2 ( π T C D S f )
where T C D S is the time interval between two consecutive CDS samples. The transfer function in (2) actually corresponds to a high-pass filter for the noise, dramatically reducing the low frequency component. A more detailed noise consideration will be described below.
The noise analysis model of the traditional AFE with D A C in parallel with PD and our proposed implementation with an interstage systematically ambient interference cancellation block employing a variable resistor are illustrated in Figure 5.
As shown in Figure 5a, the input-referred noise current Power-Spectral Density (PSD) can be expressed as:
I n , i n 2 ¯ = I n , D 2 ¯ + I n , D A C 1 2 ¯ + I n , A 1 2 ¯ + I n , R F 2 ¯
where I n , D 2 ¯ is the shot noise of PD, it can be described as:
I n , D 2 ¯ = 2 q I D
where q is the charge of an electron ( 1.6 × 10 19 C ), and I D is the DC current flowing through the PD. I n , R F 2 ¯ is the thermal noise of R F , it can be given by:
I n , R F 2 ¯ = 4 k T R F
where k is Boltzmann’s constant, T is the temperature in Kelvin. The equivalent input noise current (PSD) of A 1 is I n , A 1 2 ¯ , it is comprised of thermal noise and flicker noise, and it approximately becomes:
I n , A 1 2 ¯ 4 k T γ ω C D + C F 2 g m + 4 k T γ R F 2 g m + K C o x W 2 L 2 1 f 1 R F 2
where γ ( = 2 3 ) is the white noise parameter for long transistors, C D is the junction capacitance of the PD, g m is the transconductance of the input MOS transistor, K is a process-dependent constant on the order of 10 25 V 2 F, and C o x is the gate oxide capacitance per unit area.
I n , D A C 1 2 ¯ is the sum of thermal noise and flicker noise of the D A C , it can be defined as:
I n , D A C 1 2 ¯ = 4 k T γ g m , D A C + K C o x W 1 L 1 1 f g m , D A C 2
where g m , D A C is the transconductance of the current source devices in the D A C .
Compared with the traditional scheme, as Figure 5b shows, the input-referred noise current PSD of our proposed implementation can be given by:
I n , i n 2 ¯ = I n , D 2 ¯ + I n , A 1 2 ¯ + I n , R F 2 ¯ + I n , D A C 2 2 ¯ + I n , A 2 2 ¯
I n , D 2 ¯ , I n , A 1 2 ¯ , I n , R F 2 ¯ have been described as above, here we just give the remaining formulas of I n , D A C 2 2 ¯ and I n , A 2 2 ¯ . They can be given by:
I n , D A C 2 2 ¯ = 4 k T R C D S R F 2
I n , A 2 2 ¯ = V n , A 2 2 ¯ R F 2
It can be seen that the input-referred thermal noise current of D A C in our proposed scheme can be decreased by g m R F times compared with the one in the traditional scheme. In addition, because of the use of variable resistor in the D A C , the flicker noise that would destroy the low frequency noise performance in bandwidth of interest can be ignored. Meanwhile, the noise that V n , A 2 2 ¯ contributes to I n , i n 2 ¯ can be decreased by the square of transimpedance gain (typically R F = 500 k), so that it can be suppressed to a negligible level. To achieve a better noise performance in the typical bandwidth of interest (0.1–20 Hz), the larger g m of input transistors can decrease the thermal noise and the PMOS input pairs with an acceptable larger area is chosen to have a lower flicker noise level. Moreover, at the system level, it can be further attenuated by the systematic CDS. The noise floor is about 2.3 pA/sqrt(Hz) at the TIA input in our proposed implementation after CDS.

3. Circuit Implementation

3.1. OTAs for TIA and PGA

Compared to conventional implementation, one more OTA is needed in our proposed interstage systematically ambient interference cancellation scheme. Although better noise performance can be obtained through our proposed scheme, more power dissipation is also needed. So there is a significant trade-off between noise and power dissipation; here we adopt current-reuse OTA to achieve a proper optimization.
The current-reuse based on the mid-rail current sink/source (MCS) between two OTAs is implemented in Figure 6a to make a suitable trade-off in this RX. The NMOS current sink and the PMOS current source are connected by attaching their sources to the MCS. Their gates are biased by the symmetrical NMOS and PMOS transistors from the biasing circuit on the left. At the same time, an amplifier A that utilizes a differential pair with active current mirror load and a PMOS transistor is used to implement a negative feedback loop to regulate V 1 , so that V 1 can be approximately equal to V B . Moreover, if the transistors of MCS is saturated with ( V M C S 2 V o v ), then V 2 can also track V 1 . The biasing current is defined by I b i a s that commonly comes from the bandgap reference (BGR) block. To save the current of the biasing branch, the current ratio 1:K (typically K = 10) can be used between it and MCS. Furthermore, the biasing circuit must be elaborately compensated to achieve a stable loop and avoid any oscillation. The high output impedance can be seen from the drain terminals of MCS, so that they can be connected with two single differential pairs. As Figure 6b shows, two distinct inputs can drive the NMOS pair on the top and the PMOS pair at the bottom, respectively.
The current-reuse folded-cascode OTA employing an MCS is shown in Figure 7a, which can make the total power dissipation of two OTA reduced by 25%. To have a better low frequency noise performance, the PMOS input pair with lower flicker noise level are chosen for TIA and another NMOS input pair are used for PGA. The OTA has a typical differential mode gain of ( g m r o ) 2 and a single pole response with a dominant pole located at its output node, so that the stability and frequency compensation of this OTA cannot be emphatically considered. For our application, the gain of OTA is set to 80 dB to have the target closed loop gain accuracy.
Because of our fully differential implementation, the common mode feedback (CMFB) should be applied to its output nodes to determine and stabilize the output common mode voltage that is usually set to 1 / 2 V D D (0.9 V). The CMFB circuit is shown in Figure 7b; there are two source followers connected to output nodes, which can avoid damaging the differential mode small signal gain. However, it will increase the load capacitance of the output nodes and a bit of power dissipation, so the size and current of the source follower should be elaborately adjusted to have a good trade-off. To cancel out the differential signals and measure the common mode voltage, there are two symmetrical resistors R a connected to the outputs of two source followers. The error amplifier (EA) compares the common mode output voltage after the source follower to the reference voltage, and feeds back to the biasing of the cascode stage to constitute a negative feedback loop. Then, the common mode output voltage can be regulated to the V o , c m we want, and the EA is easily implemented with a differential pair with a diode-connected active load. Furthermore, the CMFB loop is compensated by the C a , which can introduce a zero to ensure the CMFB loop stability.

3.2. Switched RC LPF

After the I-V conversion of TIA and the amplification of PGA, the PPG signal is sampled and filtered exploiting the switched RC LPF, which rejects the out-of-band noise. The low-pass corner frequency can be described as [20]:
f 3 dB = D 2 π R 2 C 2
where D is the duty cycle of the sampling pulse. Hence, the filter cutoff frequency can be controlled by adjusting D to further decrease any noise aliasing that appears at the output of the PGA. Moreover, it can effectively cut down the area of resistors or capacitors needed.

3.3. Output Buffer

The output common mode voltage will be disturbed by the large capacitive load (typically several pF) of external ADC, which will lead to baseline wandering so that the large capacitive load must be effectively isolated by the output buffer. From this perspective, a three-stage wide-band differential amplifier topology is employed for the large capacitive load condition, as shown in Figure 8. It is a typical five-transistors OTA with its second stage of a diode-connected PMOS transistor active load and its third stage of class AB output. The loop stability is ensured by the R C miller compensation scheme for generating a negative zero to increase the phase margin. To suit the fully differential output, there are two identical buffer circuits used to satisfy our need.

3.4. TX Channel

Figure 9 shows the implementation of the H-bridge LED driver and its operation timing sequence. At the clock frequency of f r , the driver can drive the two LEDs based on the H-Bridge structure alternately. The duty-cycle of f r is typically 25% for a lower TX power consumption. The LED current is digitally programmable by the 6-b variable resistor and is given by I D A C = V R E F / R , where V R E F is the bandgap reference voltage. The main noise sources of the LED current noise are comprised of voltage noise of V R E F , voltage noise of the amplifier and thermal noise from the R. A two-stage OTA with a miller compensation capacitor and zero nulling resistor is used as the amplifier, and the dominant pole is located at the output node of first stage to ensure loop stability. An autozeroing scheme is introduced to eliminate the offset voltage and decrease flicker noise of the amplifier. The offset voltage and low frequency noise can be stored in the phase φ 1 , and then they can be eliminated in the phase φ 2 . The φ 1 and φ 2 operate at the frequency of 2 · f P R F . The noise performance of the driver output current can be optimized to have a better DR in the (0.1–20 Hz) bandwidth of interest. In our implementation, the DR of this driver can get to 105 dB with peak current of 100 mA.

4. Measurement Results

This PPG AFE chip is designed and fabricated in an 180 nm standard CMOS process, and its chip micrograph is depicted in Figure 10a with a size of 2.5 mm × 0.8 mm. The RX of this AFE consumes 220 μ W from a 1.8 V supply, and the power consumption of the TX is 60 μ W from a 3 V supply. The experimental setup for performance measurements and characterization for the proposed PPG chip is shown in Figure 10b. The chip with bonding wires is mounted on a test PCB for individual characterization. A commercial finger sensor with two LED and PD is used to emit lights and receive transmitted lights. A PCB with a 24-b Δ Σ -ADC (ADS127L11, Texas Instruments) for digitizing the differential output voltage of this AFE chip and an MCU (STM32F103x8) [28] for giving control and timing sequences is connected to the test PCB. By the means of an SPI protocol, the chip’s data are real-time streamed out to a computer for processing and displaying through a commercial S P O 2 analysis software.
Figure 11a shows the measured frequency response of the RX when the R F is set as 1 M Ω . It shows that a 100-Hz filtering bandwidth is achieved with the duty-cycle control technique using the switched RC LPF. As shown in Figure 11b, the total-harmonic-distortion (THD) is used to evaluate the linearity of this AFE, when the input sine wave current signal is 1 μ A at 20 Hz, the output spectrum shows a THD less than 1% within the bandwidth of interest and it satisfies the linearity requirement of this AFE.
The input current noise spectrum of RX is measured under dark conditions and is illustrated in Figure 12a, and it shows an input referred noise current of 2.3 pA/sqrt(Hz) in the bandwidth of 0.1 Hz–20 Hz. Moreover, the relationship of input referred noise current and the varying temperature from −40 ° C to 85 ° C is depicted in Figure 12b. It shows that the input referred noise current has a stable value with the PGA enabled and the noise performance will be attenuated 10 times with the PGA disabled. Therefore, an additional gain stage will effectively decrease the noise factor and increase the noise performance stability in the varying temperature of 125 ° C range.
Figure 13 shows the measured output current versus the different IDAC input codes (6b) of the LED driver, and it shows LED driver IDAC linearity can get to 1% in the full scale current range of 100 mA. When the driving current in the TX is 100 mA, and its noise PSD characteristic is shown in Figure 14a. The input referred noise current is 265 nA/sqrt(Hz) in the bandwidth of 0.1 Hz–20 Hz, so that the TX can achieve a DR of 105 dB. Figure 14b plots the DR as a function of TX output current, the peak DR of 110 dB can be obtained at 25 mA TX driving current and the DR of TX can be larger than 105 dB in the full scale current range to meet the low noise requirement.
Dual-wavelength recording is used to measure the PPG response on the index finger of a male subject. The LEDs were biased with a 25 mA peak current with a 25% duty-cycle. Figure 15a shows the output of the dual-wavelength lights (660 nm and 940 nm), clearly showing two PPG waveforms. Each cycle of the PPG wave represents a heartbeat, which is roughly one beat per second. The systolic and diastolic peak can be distinguished and the distance between these two peaks can be used as an indicator for the aging of the heart. The spectrum of the 660 nm red light PPG signal is shown in Figure 15b, and its fundamental frequency describes the HR. The PI can be obtained from this spectrum diagram as lower than 1%. Furthermore, two PPG waves of R and IR can be used to calculate the S P O 2 .
The real-time recorded PPG data are transferred to the computer for S P O 2 and HR analysis using commercial S P O 2 analysis software, which can provide real-time and continuous health monitoring, as shown in Figure 16.
Table 1 summarizes the overall performance of the AFE system and provides the comparison with other state-of-the-art AFEs. It can be seen that our AFE implementation with the proposed scheme can achieve the lowest input-referred noise current of 2.3 pA/sqrt(Hz) in the biggest integrated bandwidth of 20 Hz. Ref. [20] has the same order of magnitude of input-referred noise compared with our AFE, and it also has low power consumption. However, its area is 2.7 times that of ours. Ref. [29] consumes extreme power and has input-referred noise current similar to ours. Therefore, this AFE achieves competitive power and area efficiency with the excellent noise performance.

5. Discussion

In recent years, significant efforts have focused on developing integrated recording systems that feature low power consumption, wide DR, and high resolution for power-efficient, high-fidelity recording of the small-amplitude, ac, and PPG signals in the presence of ambient interference light-induced components [17,18,19,20,21,22,23,24]. The PD in the RX not only senses the LED modulated PPG signal, but also senses a significant amount of ambient interference current signals. Compared to conventional implementations that remove ambient interference at the input nodes [17,18,19,20,24,29], our proposed interstage systematically ambient interference cancellation scheme not only cancels the ambient interference caused by ambient light, but also provides a low noise system structure. Moreover, the systematic CDS operation in the MCU can further reduce the low frequency noise. However, the drawback of this AFE is the output dynamic range reduction owing to the transmission of ambient interference through TIA, so that it calls for the wide dynamic range requirement of TIA. Therefore, there is a significant trade-off between noise performance and TIA’s DR and AFE’s power consumption. The AFE’s power consumption is optimized by the current-reuse OTAs technique in this work. The wide DR reduction of TIA and overall noise reduction with the ADC integrated on the system will be the focus of future work.

6. Conclusions

In this work, a low-noise AFE is designed for clinical S P O 2 detection with low PI. With the proposed interstage systematically ambient interference cancellation scheme and the current source implemented by a variable resistor, this proposed AFE can achieve excellent low frequency noise performance. It can also obtain competitive power and area efficiency with the implemented current-reuse OTA. The auto zero scheme is adopted to have a better DR in TX. The measurement results show that the proposed AFE achieves a 2.3 pA/sqrt(Hz) input-referred noise current in RX and a peak DR of 110 dB in TX. The wide range of 8-b reconfigurable ambient interference cancellation is applied to satisfy different ambient lighting conditions. Compared to recent state-of-the-art, this work comes with the lowest input-referred noise current. Moreover, the competitive power and area efficiency can also be obtained. So, this AFE is suitable for clinical S P O 2 detection with low PI, which calls for the low noise performance.

Author Contributions

Conceptualization, J.S.; Data curation, J.S. and L.H.; Formal analysis, J.S. and L.H.; Funding acquisition, X.R. and F.T.; Investigation, Z.L.; Methodology, J.S. and F.T.; Resources, Z.L. and F.T.; Software, X.R.; Supervision, Z.L. and F.T.; Validation, J.S. and X.R.; Writing—original draft, J.S.; Writing—eview & editing, J.S. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by the Natural Science Foundation of Chongqing, China, grant number: No. cstc2019jcyj-zdxmX0014.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Fortino, G.; Giannantonio, R.; Gravina, R.; Kuryloski, P.; Jafari, R. Enabling Effective Programming and Flexible Management of Efficient Body Sensor Network Applications. IEEE Trans. Hum.-Mach. Syst. 2013, 43, 115–133. [Google Scholar] [CrossRef]
  2. Gravina, R.; Alinia, P.; Ghasemzadeh, H.; Fortino, G. Multi-sensor fusion in body sensor networks: State-of-the-art and research challenges. Inf. Fusion 2017, 35, 68–80. [Google Scholar] [CrossRef]
  3. Wannenburg, J.; Malekian, R. Body Sensor Network for Mobile Health Monitoring, a Diagnosis and Anticipating System. IEEE Sens. J. 2015, 15, 6839–6852. [Google Scholar] [CrossRef] [Green Version]
  4. Mohan, P.M.; Nagarajan, V.; Das, S.R. Stress Measurement from Wearable Photoplethysmographic Sensor using Heart Rate Variability Data. In Proceedings of the IEEE International Conference on Communication and Signal Processing (ICCSP), Adhiparasakthi Engn Coll, Dept Elect & Commun Engn, Melmaruvathur, India, 6–8 April 2016; pp. 1141–1144. [Google Scholar]
  5. Dey, J.; Bhowmik, T.; Sahoo, S.; Tiwari, V.N. Wearable PPG Sensor based Alertness Scoring System. In Proceedings of the 39th Annual International Conference of the IEEE-Engineering-in-Medicine-and-Biology-Society (EMBC), Jeju, Korea, 11–15 July 2017; pp. 2422–2425. [Google Scholar]
  6. Calhoun, B.H.; Lach, J.; Stankovic, J.; Wentzloff, D.D.; Whitehouse, K.; Barth, A.T.; Brown, J.K.; Li, Q.; Oh, S.; Roberts, N.E.; et al. Body Sensor Networks: A Holistic Approach From Silicon to Users. Proc. IEEE 2012, 100, 91–106. [Google Scholar] [CrossRef]
  7. Webster, J.G. Design of Pulse Oximeters; Institute of Physics: Bristol, PA, USA, 1997. [Google Scholar]
  8. Xu, J.W.; Konijnenburg, M.; Ha, H.; van Wegberg, R.; Song, S.; Blanco-Almazan, D.; Van Hoof, C.; Van Helleputte, N. A 36 μW 1.1 mm2 Reconfigurable Analog Front-End for Cardiovascular and Respiratory Signals Recording. IEEE Trans. Biomed. Circuits Syst. 2018, 12, 774–783. [Google Scholar] [CrossRef] [PubMed]
  9. SVTronics Inc. AFE4403 EVM. Available online: https://svtronics.com/med/AFE4403 (accessed on 14 October 2016).
  10. Halliday, D.; Resnick, R.; Walker, J. Fundamentals of Physics, 10th ed.; Wiley: Hoboken, NJ, USA, 2013. [Google Scholar]
  11. Graeme, J. Photo Diode Amplifiers: Op Amp Solutions; McGraw-Hill: New York, NY, USA, 1995. [Google Scholar]
  12. Mehta, P.; Darwish, M.; Thomson, T. Switched-Capacitor Filters. IEEE Trans. Power Electron 1990, 5, 331–336. [Google Scholar] [CrossRef]
  13. Caizzone, A.; Boukhayma, A.; Enz, C. A 2.6 μW Monolithic CMOS Photoplethysmographic (PPG) Sensor Operating with 2 μW LED Power for Continuous Health Monitoring. IEEE Trans. Biomed. Circuits Syst. 2019, 13, 1243–1253. [Google Scholar] [CrossRef] [PubMed]
  14. Lee, J.; Jang, D.H.; Park, S.; Cho, S. A Low-Power Photoplethysmogram-Based Heart Rate Sensor Using Heartbeat Locked Loop. IEEE Trans. Biomed. Circuits Syst. 2018, 12, 1220–1229. [Google Scholar] [CrossRef] [PubMed]
  15. Tang, F.; Li, Z.P.; Yang, T.B.; Zhang, L.; Zhou, X.C.; Hu, S.D.; Lin, Z.; Li, P.; Wang, B.; Bermak, A. A Noise-Reduced Light-to-Frequency Converter for Sub-0.1% Perfusion Index Blood SpO(2) Sensing. IEEE Trans. Biomed. Circuits Syst. 2020, 14, 931–941. [Google Scholar] [CrossRef] [PubMed]
  16. Atef, M.; Wang, M.; Wang, G.X. A Fully Integrated High-Sensitivity Wide Dynamic Range PPG Sensor with an Integrated Photodiode and an Automatic Dimming Control LED Driver. IEEE Sens. J. 2018, 18, 652–659. [Google Scholar] [CrossRef]
  17. Winokur, E.S.; O’Dwyer, T.; Sodini, C.G. A Low-Power, Dual-Wavelength Photoplethysmogram (PPG) SoC with Static and Time-Varying Interferer Removal. IEEE Trans. Biomed. Circuits Syst. 2015, 9, 581–589. [Google Scholar] [CrossRef] [PubMed]
  18. Lee, Y.; Lee, H.; Jang, J.; Lee, J.; Kim, M.; Lee, J.; Kim, H.; Lee, K.R.; Kim, K.; Cho, H.; et al. A 141 μW Sensor SoC on OLED/OPD Substrate for SpO2/ExG Monitoring Sticker. In Proceedings of the 63rd IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 384–385. [Google Scholar]
  19. Pamula, V.R.; Valero-Sarmiento, J.M.; Yan, L.; Bozkurt, A.; Van Hoof, C.; Van Helleputte, N.; Yazicioglu, R.F.; Verhelst, M. A 172 μW Compressively Sampled Photoplethysmographic (PPG) Readout ASIC with Heart Rate Estimation Directly from Compressively Sampled Data. IEEE Trans. Biomed. Circuits Syst. 2017, 11, 487–496. [Google Scholar] [CrossRef] [PubMed]
  20. Sharma, A.; Polley, A.; Lee, S.B.; Narayanan, S.; Li, W.; Sculley, T.; Ramaswamy, S. A Sub-60-μA Multimodal Smart Biosensing SoC with >80-dB SNR, 35-μA Photoplethysmography Signal Chain. IEEE J. Solid-State Circuits 2017, 52, 1021–1033. [Google Scholar] [CrossRef]
  21. Tavakoli, M.; Turicchia, L.; Sarpeshkar, R. An Ultra-Low-Power Pulse Oximeter Implemented with an Energy-Efficient Transimpedance Amplifier. IEEE Trans. Biomed. Circuits Syst. 2010, 4, 27–38. [Google Scholar] [CrossRef] [PubMed]
  22. Glaros, K.N.; Drakakis, E.M. A Sub-mW Fully-Integrated Pulse Oximeter Front-End. IEEE Trans. Biomed. Circuits Syst. 2013, 7, 363–375. [Google Scholar] [CrossRef] [PubMed]
  23. Zhang, H.; Li, Y. A Low-Power Dynamic-Range Relaxed Analog Front End for Wearable Heart Rate and Blood Oximetry Sensor. IEEE Sens. J. 2019, 19, 8387–8392. [Google Scholar] [CrossRef]
  24. Lin, B.H.; Ma, Z.C.; Atef, M.; Ying, L.; Wang, G.X. Low-Power High-Sensitivity Photoplethysmography Sensor for Wearable Health Monitoring System. IEEE Sens. J. 2021, 21, 16141–16151. [Google Scholar] [CrossRef]
  25. Young, C.; Omid-Zohoor, A.; Lajevardi, P.; Murmann, B. A Data-Compressive 1.5/2.75-bit Log-Gradient QVGA Image Sensor With Multi-Scale Readout for Always-On Object Detection. IEEE J. Solid-State Circuits 2019, 54, 2932–2946. [Google Scholar] [CrossRef]
  26. Enz, C.C.; Temes, G.C. Circuit techniques for reducing the effects of op-amp imperfections: Autozeroing, correlated double sampling, and chopper stabilization. Proc. IEEE 1996, 84, 1584–1614. [Google Scholar] [CrossRef] [Green Version]
  27. Enz, C.; Boukhayma, A. Recent Trends in Low-frequency Noise Reduction Techniques for Integrated Circuits. In Proceedings of the International Conference on Noise and Fluctuations, Xi’an, China, 2–6 June 2015; pp. 1–6. [Google Scholar]
  28. ST Inc. Medium-Density Performance Line ARM®-Based 32-bit MCU with 64 or 128 KB Flash, USB, CAN, 7 Timers, 2 ADCs, 9 Com. Interfaces. Available online: https://www.st.com/resource/en/datasheet/cd00161566.pdf (accessed on 21 August 2015).
  29. Hina, A.; Saadeh, W. A Noninvasive Glucose Monitoring SoC Based on Single Wavelength Photoplethysmography. IEEE Trans. Biomed. Circuits Syst. 2020, 14, 504–515. [Google Scholar] [CrossRef] [PubMed]
Figure 1. (a) Traditional implementation of PPG signal acquisition system. (b) Typical PPG wave with DC and AC components.
Figure 1. (a) Traditional implementation of PPG signal acquisition system. (b) Typical PPG wave with DC and AC components.
Electronics 11 00868 g001
Figure 2. System block diagram of PPG AFE system.
Figure 2. System block diagram of PPG AFE system.
Electronics 11 00868 g002
Figure 3. Circuit implementation of RX.
Figure 3. Circuit implementation of RX.
Electronics 11 00868 g003
Figure 4. Timing diagram of AFE system.
Figure 4. Timing diagram of AFE system.
Electronics 11 00868 g004
Figure 5. (a) Noise model of traditional AFE. (b) Noise model of proposed AFE implementation.
Figure 5. (a) Noise model of traditional AFE. (b) Noise model of proposed AFE implementation.
Electronics 11 00868 g005
Figure 6. (a) Schematic of mid-rail current sink/source (MCS). (b) Connection of differential pairs.
Figure 6. (a) Schematic of mid-rail current sink/source (MCS). (b) Connection of differential pairs.
Electronics 11 00868 g006
Figure 7. (a) Circuit implementation of current-reuse folded-cascode OTA employing a MCS and (b) common mode feedback circuit.
Figure 7. (a) Circuit implementation of current-reuse folded-cascode OTA employing a MCS and (b) common mode feedback circuit.
Electronics 11 00868 g007
Figure 8. Schematic of output buffer.
Figure 8. Schematic of output buffer.
Electronics 11 00868 g008
Figure 9. Circuit implementation of TX.
Figure 9. Circuit implementation of TX.
Electronics 11 00868 g009
Figure 10. (a) The chip micrograph of the proposed AFE system. (b) Experimental setup for the proposed AFE chip.
Figure 10. (a) The chip micrograph of the proposed AFE system. (b) Experimental setup for the proposed AFE chip.
Electronics 11 00868 g010
Figure 11. (a) Frequency response of the RX. (b) Measured output spectrum of the TIA with the transimpedance gain of 1 M Ω .
Figure 11. (a) Frequency response of the RX. (b) Measured output spectrum of the TIA with the transimpedance gain of 1 M Ω .
Electronics 11 00868 g011
Figure 12. (a) The input current noise spectrum of RX. (b) The input referred current noise of RX in the varying temperature from –40 ° C to 85 ° C.
Figure 12. (a) The input current noise spectrum of RX. (b) The input referred current noise of RX in the varying temperature from –40 ° C to 85 ° C.
Electronics 11 00868 g012
Figure 13. TX current linearity in the 100 mA range.
Figure 13. TX current linearity in the 100 mA range.
Electronics 11 00868 g013
Figure 14. (a) The input current noise spectrum of TX. (b) The DR of TX in the varying output current.
Figure 14. (a) The input current noise spectrum of TX. (b) The DR of TX in the varying output current.
Electronics 11 00868 g014
Figure 15. (a) Recorded PPG waveforms from 660 nm and 940 nm wavelengths lights. (b) The spectrum of 660 nm red light PPG waveform.
Figure 15. (a) Recorded PPG waveforms from 660 nm and 940 nm wavelengths lights. (b) The spectrum of 660 nm red light PPG waveform.
Electronics 11 00868 g015
Figure 16. S P O 2 analysis software display results.
Figure 16. S P O 2 analysis software display results.
Electronics 11 00868 g016
Table 1. Comparison with other state-of-the-art AFEs.
Table 1. Comparison with other state-of-the-art AFEs.
[19]
2017
[20]
2017
[23]
2019
[29]
2020
[24]
2021
This
Work
Process (nm)180130180180350180
Power ( μ W )171.6 a 68.7 a 1801620 b 80 a 280
Area (mm 2 )105.51N/A43.912
Gain ( Ω )10 k–250 k10 k–2 M40 k1 M1.26 M10 k–1 M
DC rejection current ( μ A )1012N/A202420
I n o i s e ( p A r m s )48646.457.341.32.3
Bandwidth (Hz)1051010520
Sampling frequency (Hz)1281001002002001500
LED peak current (mA)0.03650501.024.5100
LED duty cycleN/A20%25%0.8–6.4%0.5%25%
N/A: Not mentioned. a Include ADC. b RX + TX + ADC + DSP.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Shen, J.; Lin, Z.; Huang, L.; Ruan, X.; Tang, F. A Low-Noise Analog Front End with Interstage Systematically Ambient Interference Cancellation for Pulse Oximeter. Electronics 2022, 11, 868. https://doi.org/10.3390/electronics11060868

AMA Style

Shen J, Lin Z, Huang L, Ruan X, Tang F. A Low-Noise Analog Front End with Interstage Systematically Ambient Interference Cancellation for Pulse Oximeter. Electronics. 2022; 11(6):868. https://doi.org/10.3390/electronics11060868

Chicago/Turabian Style

Shen, Jiulong, Zhi Lin, Linqing Huang, Xiukai Ruan, and Fang Tang. 2022. "A Low-Noise Analog Front End with Interstage Systematically Ambient Interference Cancellation for Pulse Oximeter" Electronics 11, no. 6: 868. https://doi.org/10.3390/electronics11060868

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop